#system-verilog #parser #module #retrieve #sub-component

app parsv

Retrieve any subcomponent from a Verilog module

1 unstable release

new 0.1.0 Sep 12, 2024

#289 in Hardware support

Download history 97/week @ 2024-09-09

97 downloads per month

Apache-2.0

7KB
111 lines

parsv

Verilog and SystemVerilog parsing library.

Dependencies

~3–11MB
~120K SLoC