1 unstable release

Uses old Rust 2015

0.0.1 Jan 9, 2017

#163 in #parser-generator

MIT license

1.5MB
26K SLoC

rust-verilog

[dependencies]
verilog = "0.0.1"

Parses and generates Verilog code.

extern crate verilog;

let code: verilog::ast::Code = verilog::parse("module a(); endmodule");

License

MIT or Apache-2.0, at your option.

Dependencies

~3.5MB
~76K SLoC