8 releases

0.3.3 Nov 20, 2022
0.3.2 Nov 20, 2022
0.3.1 Oct 4, 2022
0.3.0 May 20, 2021
0.1.0 Jul 23, 2020

#442 in Programming languages

Download history 46/week @ 2023-11-20 693/week @ 2023-11-27 602/week @ 2023-12-04 630/week @ 2023-12-11 900/week @ 2023-12-18 24/week @ 2023-12-25 25/week @ 2024-01-08 34/week @ 2024-01-15 50/week @ 2024-01-22 40/week @ 2024-01-29 83/week @ 2024-02-05 101/week @ 2024-02-12 65/week @ 2024-02-19 416/week @ 2024-02-26 60/week @ 2024-03-04

646 downloads per month
Used in 3 crates (2 directly)

Apache-2.0

85KB
3K SLoC

Verilog AST (VAST)

Build Status Crates.io

VAST is a Rust library for building and manipulating Verilog ASTs. The goal is to support features from two different versions of the standard 2005 and 2017, v05 and v17 respectively. The subset directory contains types that are common between the two.

Using VAST

Add vast to your Cargo.toml like this:

[dependencies]
vast = "0.3.0"

Creating a module in Verilog-2005

use vast::v05::ast::Module;

fn main() {
    let mut module = Module::new("foo");
    module.add_input("a", 32);
    let res = module.to_string();
    let exp = r#"module foo (
    input wire [31:0] a
);
endmodule
"#;
    assert_eq!(res, exp);
}

Creating a module in SystemVerilog-2017

use vast::v17::ast::Module;

fn main() {
    let mut module = Module::new("foo");
    module.add_input("a", 32);
    let res = module.to_string();
    let exp = r#"module foo (
    input logic [31:0] a
);
endmodule
"#;
    assert_eq!(res, exp);
}

Dependencies

~1MB
~21K SLoC