#module #together #verilog #stitch

topstitch

Stitch together Verilog modules with Rust

2 unstable releases

new 0.2.0 Sep 18, 2024
0.1.0 Sep 6, 2024

#730 in Hardware support

Download history 102/week @ 2024-09-03 3/week @ 2024-09-10

105 downloads per month

Apache-2.0

22KB
551 lines

topstitch

Stitch together Verilog modules with Rust

Dependencies

~3–14MB
~198K SLoC